第78章 修改设计(2 / 13)

简单了。只需擦除这根连线,而后在正确的位置,重新画一根,也就可以了。修改完成之后,乔瑞达又做了几次仿真测试,重点集中在闪存芯片读写方面,全部满分通过测试,这才放心下来。做完这一切,乔瑞达站起身,伸了个懒腰,长长的吐出一口气。

“呼——总算搞定了,明天拿去二次流片,希望这一次能一帆风顺,流片成功。”

“瑞达,你忙完了吗,那赶紧把午饭吃了。”